Perfil (CV) del personal docente investigador

Valero Bresó, Alejandro
Departamento: Departamento de Informática e Ingeniería de Sistemas
Área: Arquitectura y Tecnología de Computadores
Centro: Escuela de Ingeniería y Arquitectura

Research Institute: INSTITUTO DE INVESTIGACIÓN EN INGENIERÍA DE ARAGÓN (I3A)
Grupo: T58_23R: gaZ: grupo de Arquitectura de Computadores de la Universidad de Zaragoza

Códigos UNESCO
  • Arquitectura de ordenadores
  • Fiabilidad de los ordenadores
  • Dispositivos de almacenamiento

Tramos de investigación
  • CNEAI research evaluation. 15/05/23. (2)
Categoría profesional: Prof. Titular Univ.
Teléfono fijo: +34 976761950
Correo electrónico:
Página web: https://researchgate.net/profile/Alejandro_Valero
ORCID: 0000-0002-0824-5833

Titulaciones universitarias
  • Máster Universitario en Ingeniería de Computadores en la Especialidad de Redes y Sistemas Operativos. Universidad Politécnica de Valencia. 2011
  • Ingeniero en Informática. Universidad Politécnica de Valencia. 2009

Doctorados
  • Doctor en Informática. Universidad Politécnica de Valencia. 2013

Descargar currículum en formato PDF Ir a la página web personal Ir a la página ORCID

 
           

Artículos

  • Toca-Díaz, Yamilka; Hernández Palacios, Reynier; Gran Tejero, Rubén; Valero, Alejandro. Flip-and-Patch: A Fault-Tolerant Technique for On-Chip Memories of CNN Accelerators at Low Supply Voltage. MICROPROCESSORS AND MICROSYSTEMS. 2024. DOI: 10.1016/j.micpro.2024.105023

  • Landeros Muñoz, N.; Valero, A.; Gran Tejero, R.; Zoni, D. Gated-CNN: Combating NBTI and HCI aging effects in on-chip activation memories of Convolutional Neural Network accelerators. JOURNAL OF SYSTEMS ARCHITECTURE. 2022. DOI: 10.1016/j.sysarc.2022.102553

  • Valero, Alejandro; Gran-Tejero, Rubén; Suárez-Gracia, Darío; Georgescu, Emanue A.; Ezpeleta, Joaquín; Álvarez, Pedro; Muñoz, Adolfo; Ramos, Luis M.; Ibáñez, Pablo. A learning experience toward the understanding of abstraction-level interactions in parallel applications. JOURNAL OF PARALLEL AND DISTRIBUTED COMPUTING. 2021. DOI: 10.1016/j.jpdc.2021.05.008

  • Valero, A.; Suarez-Gracia, D.; Gran-Tejero, R. DC-Patch: A Microarchitectural Fault Patching Technique for GPU Register Files. IEEE ACCESS. 2020. DOI: 10.1109/ACCESS.2020.3025899

  • Candel, Francisco; Valero, Alejandro; Petit, Salvador; Sahuquillo, Julio. Efficient Management of Cache Accesses to Boost GPGPU Memory Subsystem Performance. IEEE TRANSACTIONS ON COMPUTERS. 2019. DOI: 10.1109/TC.2019.2907591

  • Valero Bresó, Alejandro; Candel Margaix, Francisco; Suárez Gracia, Darío; Petit Martí, Salvador Vicente; Sahuquillo Borrás, Julio. An aging-aware GPU register file design based on data redundancy. IEEE TRANSACTIONS ON COMPUTERS. 2019. DOI: 10.1109/TC.2018.2849376

  • Candel, Francisco; Petit, Salvador; Valero, Alejandro; Sahuquillo, Julio. Improving GPU cache hierarchy performance with a fetch and replacement cache. LECTURE NOTES IN COMPUTER SCIENCE. 2018. DOI: 10.1007/978-3-319-96983-1_17

  • Valero Bresó, Alejandro; Miralaei, Negar; Petit Martí, Salvador Vicente; Sahuquillo Borrás, Julio; Jones, Timothy Martin. On Microarchitectural Mechanisms for Cache Wearout Reduction. IEEE TRANSACTIONS ON VERY LARGE SCALE INTEGRATION (VLSI) SYSTEMS. 2017. DOI: 10.1109/TVLSI.2016.2625809

  • Valero Bresó, Alejandro; Miralaei, Negar; Petit Martí, Salvador Vicente; Sahuquillo Borrás, Julio; Jones, Timothy Martin. Enhancing the L1 Data Cache Design to Mitigate HCI. IEEE COMPUTER ARCHITECTURE LETTERS. 2016. DOI: 10.1109/LCA.2015.2460736

  • Valero Bresó, Alejandro; Sahuquillo Borrás, Julio; Petit Martí, Salvador Vicente; López Rodríguez, Pedro Juan; Duato Marín, José Francisco. Design of Hybrid Second-Level Caches. IEEE TRANSACTIONS ON COMPUTERS. 2015. DOI: 10.1109/TC.2014.2346185

  • Valero Bresó, Alejandro; Petit Martí, Salvador Vicente; Sahuquillo Borrás, Julio; Kaeli, David Richard; Duato Marín, José Francisco. A Reuse-Based Refresh Policy for Energy-Aware eDRAM Caches. MICROPROCESSORS AND MICROSYSTEMS. 2015. DOI: 10.1016/j.micpro.2014.12.001

  • Valero Bresó, Alejandro; Sahuquillo Borrás, Julio; Lorente Garcés, Vicente Jesús; Petit Martí, Salvador Vicente; López Rodríguez, Pedro Juan; Duato Marín, José Francisco. Impact on Performance and Energy of the Retention Time and Processor Frequency in L1 Macrocell-Based Data Caches. IEEE TRANSACTIONS ON VERY LARGE SCALE INTEGRATION (VLSI) SYSTEMS. 2012. DOI: 10.1109/TVLSI.2011.2142202

  • Valero Bresó, Alejandro; Sahuquillo Borrás, Julio; Petit Martí, Salvador Vicente; López Rodríguez, Pedro Juan; Duato Marín, José Francisco. Combining Recency of Information with Selective Random and a Victim Cache in Last-Level Caches. ACM TRANSACTIONS ON ARCHITECTURE AND CODE OPTIMIZATION. 2012. DOI: 10.1145/2355585.2355589

  • Valero Bresó, Alejandro; Petit Martí, Salvador Vicente; Sahuquillo Borrás, Julio; López Rodríguez, Pedro Juan; Duato Marín, José Francisco. Design, Performance, and Energy Consumption of eDRAM/SRAM Macrocells for L1 Data Caches. IEEE TRANSACTIONS ON COMPUTERS. 2012. DOI: 10.1109/TC.2011.138

Comunicaciones



© Universidad de Zaragoza | Versión 2.24.5
© Servicio de Informática y Comunicaciones de la Universidad de Zaragoza (Pedro Cerbuna 12, 50009 ZARAGOZA - ESPAÑA)